Исполнения оператора

открытого исполнения (номинальный ток указан

Тип Вариант исполнения —-.....- - ¦ —........ Номинальный гок, А Вторична» нн1ру:1КУ при cos


Тип Вариант исполнения Номинальный ток, А Вторичная нагрузка при cos ф = 0,8 в классе точности Ток термической стойкости, кА Номинальная предель-

Тип Вариант исполнения Номинальный ток, А Вторичная нагрузка при со5ф = 0,8 в классе точности Ток термической стойкости, кА Номинальная предель-

Тип Вариант исполнения Номинальный ток, А Вторичная нагрузка при cos ф = 0,8 в классе точности Ток термической стойкости, кА Номинальная предель-

Тип Вариант исполнения Номинальный ток, А Вторичная нагрузка при со$ф = 0,8 в классе точности. Ток термической стойкости, кА Номинальная предель-

Тип трансформатора Варианты исполнения Номинальный первичный ток, А Трехсекунд-ная термическая стойкость или кратность Электродинамическая стойкость или кратность Номинальная вторичная нагрузка, В-А Номинальная предельная кратность защитной обмотки Масса, кг

Тип трансформатора Варианты исполнения Номинальный первичный ток, Л Трехсекунд-ная термическая стойкость или кратность Электродинамическая стойкость или кратность Номинальная вторичная нагрузка, ' В-А Номинальная предельная кратность защитной обмотки Масса, кг

Тип трансформатора Варианты исполнения Номинальный первичный ток, А ричная нагрузка, В-А ная предельная краткость при кундная термическая стой- динамическая стойкость Масса, кг

Типовой индекс. исполнения Номинальный ток, А Номинальный ток расцепите-ля автомата, А Габариты, мм2) Типовой индекс исполнения Номинальный ток, А Номинальный ток расцепите-ля автомата, А Габариты, мм2)

Наиболее явно разница между сигналами и переменными проявляется при интерпретации операторов последовательных присвоений. Для обоих видов сохраняется общее для последовательных операторов правило начала исполнения: первый оператор в процессе исполняется после выполнения условий инициализации процесса, а каждый следующий сразу после исполнения предыдущего. Однако результат присвоения переменной непосредственно доступен любому последующему оператору в теле процесса. Трактовка оператора последовательного присвоения сигналу существенно отличается от трактовки присвоения переменной или операторов присваивания в традиционных языках программирования. Присвоение сигналу не приводит непосредственно к изменению его значения. Новое значение сначала заносится в буфер, называемый драйвером сигнала, и следующие операторы в теле процесса оперируют со старыми значениями. Фактическое изменение значения сигнала выполняется только после исполнения до конца процессов и других параллельных операторов, инициированных общим событием, или после исполнения оператора останова wait (cm. разд. 3.2.6).

В качестве операторов в приведенной конструкции могут выступать любые последовательные операторы, в том числе и операторы условия или выбора. В этом случае говорят об иерархическом вложении операторов. Формальных ограничений на глубину вложений не вводится, хотя надо иметь в виду, что некоторые компиляторы могут оказаться неспособны выполнить прямую реализацию в аппаратуре синтаксических конструкций с большим числом уровней вложения. Для пояснения порядка исполнения оператора рассмотрим менее формальную, но достаточно обобщенную форму его записи:

При каждом исполнении оператора выбора реализуется единственная последовательность вложенных операторов, а именно та, которой предшествует вариант, совпадающий со значением ключевого выражения в момент исполнения оператора. Если вариант представлен диапазоном, то соответствующая последовательность операторов исполняется при условии, что значение ключевого выражения принадлежит этому диапазону.

Параллельные операторы это такие, каждый из которых выполняется при любом изменении сигналов, используемых в качестве его исходных данных. Результаты исполнения оператора доступны для других параллельных операторов не ранее, чем будут выполнены все операторы, инициализированные общим событием (а может быть и позже, если присутствуют выражения задержки). В языке VHDL к классу параллельных операторов относятся:

Можно применять также и последовательную форму записи правила функционирования с использованием оператора процесса. Архитектурное тело описанного в этой форме устройства, изображенного на 3.11, представлено в листинге 3.18. Здесь важно отметить, что все входные сигналы комбинационной схемы должны быть включены в список инициализаторов процесса с тем, чтобы любое их изменение вызывало исполнение оператора присваивания. Кроме того, в данном случае недопустимо a_and_b декларировать как сигнал. Это обязательно переменная, причем ее вычисление задается оператором, предшествующим операторам вычисления результирующих сигналов. В противном случае наблюдается некорректное представление поведения, заключающееся в том, что используются значения не непосредственно полученные в процессе текущего исполнения оператора process, а значения, вычисленные ранее после предыдущего изменения одного из входных сигналов.

Важное значение в подпрограммах имеет оператор возврата return. В про-дедуре этот оператор прекращает ее исполнение, передавая управление вы-5ывающей программе. Если исполнен оператор return в процедуре, вызван--юй последовательным оператором, то после него выполняется оператор зызывающей программы, следующий за оператором вызова. После исполнения оператора return в процедуре, вызванной параллельным оператором, интерпретатор программы обращается к календарю событий и инициирует исполнение оператора, связанного со следующим событием в календаре. При отсутствии оператора возврата исполнение процедуры завершается по-;ледним оператором в порядке записи.

Оператор исполняется при изменении любой переменной, присутствующей в правой части операции присваивания. Однако после вычисления результат заносится только в буфер (драйвер), а временная отметка предсказанного изменения заносится в календарь событий. Приемник получает новое значение только тогда, когда начнется отработка этого вновь предсказанного события, т. е. через интервал модельного времени, заданный выражением <задержка>. После этого результат сохраняется вплоть до следующего исполнения оператора, т. е. изменения любого аргумента.

Если присвоение содержит опцию задержки, то изменение предсказывается на момент модельного времени, отстоящий от момента исполнения оператора на объявленное число квантов модельного времени. Иными словами, если имеем несколько последовательных операторов со своими указаниями времени, то время задержки очередного присвоения от начала исполнения первого есть сумма задержек предшественников. Примеры блокирующих присвоений и соответствующие комментарии можно найти в листингах 3.44—3.46.

Логика исполнения оператора в целом подобна исполнению условного оператора в языке С, но имеется важная особенность, связанная с тем, что в Verilog вычисление выражения может дать неопределенное значение. В этом случае исполняется ветвь else. Здесь уместно напомнить, что операции сравнения определены в двух версиях. Если используется обозначение "==" (два знака равенства), то результат сравнения считается неопределенным, если хоть один разряд операндов не определен. Если используется обозначение "===" (три знака равенства), то операнды считаются совпадающими и в тех случаях, когда у них в одноименных разрядах присутствуют неопределенные значения.

Если префикс времени предшествует блокирующему оператору присваивания, то вычисленное значение присваивается приемнику сразу после исполнения оператора, а если оператору неблокирующего присваивания — то после исполнения всех операторов, инициированных общим событием.

Префикс событийного управления, подобно префиксу времени, может записываться перед выражением в правой части присвоения. Это означает, что выражение вычисляется в момент исполнения оператора, но фактическое присвоение выполняется при наступлении события. Включение дополнительного выражения повторения позволяет задать поведение, при котором присвоение будет выполняться после заданного числа инициирующих событий. Например, оператор

определяет, что значение aorigin, имевшееся на момент исполнения оператора, будет присвоено регистровой переменной adeiayed только по прошествии пяти нарастающих фронтов тактирующего сигнала.



Похожие определения:
Испускает электроны
Исследования электрической
Исследования направленные
Исследования проведенные
Исследования возможности
Импульсное сопротивление
Исследование теплоотдачи

Яндекс.Метрика