Параллельных операторов

Условие экономического использования батарей параллельных конденсаторов — отключение части батарей для изменения генерируемой ими мощности, что выполняется автоматически в зависимости от изменения нагрузки или напряжения потребителя (см. § 10.5).

Обрыв одного из двух параллельно соединенных конденсаторов не приводит к обрыву цепи, но уменьшает общую емкость в два раза, что во многих случаях вызывает отказ работы устройства. Параллельное соединение конденсаторов, у которых вероятность обрыва значительно больше вероятности короткого замыкания, повышает надежность только в том случае, если уменьшение емкости участка цепи в два раза не приводит к недопустимому изменению электрического режима устройства,. Это может, например, иметь место при использовании двух параллельных конденсаторов в цепи связи предоконечного каскада передатчика с оконечным. Обрыв одного конденсатора в такой цепи не приводит к существенному уменьшению мощности выходного каскада (рассчитанной для одного конденсатора). Параллельное соединение конденсаторов в такой цепи увеличивает надежность и за счет уменьшения реактивной нагрузки каждого из конденсаторов.

где Хс — индуктивное сопротивление системы электроснабжения без ФКУ на основной частоте; Xi>v и XKi6, v — соответственно индуктивное сопротивление реакторов и емкостное сопротивление конденсаторов фильтра v-й гармоники; vx и v/, — кратности частоты настройки фильтров; XKi б — емкостное сопротивление параллельных конденсаторов.

Следует заметить, что выполнение условия (11-35) еще не гарантирует от дополнительных искажений напряжения, которые могут быть при подключении параллельных конденсаторов. Так, если последний полюс (ят) близок к кратности 1-й нефильтруемой гармоники, то возможно ее усиление, что может привести к увеличению несинусоидальности напряжения. В этом случае путем последовательных приближений следует увеличивать долю реактивной мощности параллельно-включенных конденсаторов за счет уменыне-

Пример частотной характеристики системы с ФКУ, состоящего из двух фильтров и параллельно присоединяемых конденсаторов, приведен на 11-12. Нули функции Xz = / (п) соответствуют кратности частот настройки фильтров с vx = 5 и vt = 7. Снижение реактивного сопротивления системы на частотах нефильтруемых гармоник высшего порядка (п >n/+i) обусловлено подключением параллельных конденсаторов.

где хс — индуктивное сопротивление системы электроснабжения без на основной частоте; x^v и .хк6 v - соответственно индуктивное сопротивление реакторов и емкостное сопротивление конденсаторов фильтра v-й гармоники; vt и v( — кратности частоты настройки фильтров; хк>5 — емкостное сопротивление параллельных конденсаторов.

При использовании параллельных конденсаторов в составе ФКУ необходимо исключить возможность резонанса токов в диапазоне частот нефильтруемых гармоник. Это условие обеспечивают путем распределения суммарной мощности ФКУ между параллельными конденсаторами и фильтрами. Указанное распределение достигается при условии, если последний полюс (п, + 1) частотной характеристики системы, включающей ФКУ с параллельными конденсаторами, находится между последней фильтруемой и первой нефильтруемой гармониками:

Следует заметить, что выполнение условия (11.49) еще не гарантирует от дополнительных искажений напряжения, которые могут быть при подключении параллельных конденсаторов. Так, если последний полюс (n,+ i) близок к кратности 1-й нефильтруемой гармоники, то возможно ее усиление, что может привести к увеличению несинусоидальности напряжения. В этом случае путем последовательных приближений следует увеличивать долю реактивной мощности параллельно включенных конденсаторов за счет уменьшения мощности фильтров и увеличения разности

Пример частотной характеристики системы с ФКУ, состоящей из двух фильтров и параллельно присоединяемых конденсаторов, приведен на 11.22. Нули функции xz = /(n) соответствуют кратности частот настройки фильтров с У! = 5 и v, = 7. Снижение реактивного сопротивления системы на частотах нефильтруемых гармоник высшего порядка (н>п( + 1) обусловлено подключением параллельных конденсаторов.

1 —ФКУ без параллельных конденсаторов; 2 — ФКУ с параллельными конденсаторами

; _ ФКУ без параллельных конденсаторов; 2 — ФКУ с параллельными конденсаторами; Х^ (я) — суммарное реактивное сопротивление системы сеть — ФКУ на частотах n-х гармоник.

представления параллельных процессов используются такие формы записи, которые предусматривают исполнение каждого оператора после выполнения хотя бы одного любого из инцидентных ему операторов (в VHDL такие операторы называются параллельными и отличаются от последовательных по своей локализации в программе). Порядок записи параллельных операторов безразличен, допустимы обратные связи и петли.

Исполнение параллельных операторов инициируется не по последовательному, а по событийному принципу, т. е. они исполняются тогда, когда реализация других операторов программы создала условия для их исполнения. Параллельные операторы представляют части алгоритма, которые в реальной системе могут исполняться одновременно. Эти части взаимодействуют между собой и с окружением проектируемой системы. Параллельные операторы могут быть простыми и составными. Составной оператор включает несколько простых операторов, для которых определены общие условия инициализации. Такая совокупность операторов называется телом составного оператора. Важнейшим составным оператором является оператор процесса process, синтаксис которого определен следующим образом:

Наиболее явно разница между сигналами и переменными проявляется при интерпретации операторов последовательных присвоений. Для обоих видов сохраняется общее для последовательных операторов правило начала исполнения: первый оператор в процессе исполняется после выполнения условий инициализации процесса, а каждый следующий сразу после исполнения предыдущего. Однако результат присвоения переменной непосредственно доступен любому последующему оператору в теле процесса. Трактовка оператора последовательного присвоения сигналу существенно отличается от трактовки присвоения переменной или операторов присваивания в традиционных языках программирования. Присвоение сигналу не приводит непосредственно к изменению его значения. Новое значение сначала заносится в буфер, называемый драйвером сигнала, и следующие операторы в теле процесса оперируют со старыми значениями. Фактическое изменение значения сигнала выполняется только после исполнения до конца процессов и других параллельных операторов, инициированных общим событием, или после исполнения оператора останова wait (cm. разд. 3.2.6).

ствий оператором wait. Тогда после приостановки может быть инициировано исполнение других процессов и параллельных операторов, а реализация операторов, следующих за оператором wait, продолжится после наступления события, объявленного в этом операторе.

Параллельные операторы это такие, каждый из которых выполняется при любом изменении сигналов, используемых в качестве его исходных данных. Результаты исполнения оператора доступны для других параллельных операторов не ранее, чем будут выполнены все операторы, инициализированные общим событием (а может быть и позже, если присутствуют выражения задержки). В языке VHDL к классу параллельных операторов относятся:

В этом смысле операторы, включенные в блок, не отличаются от "индивидуальных" параллельных операторов.

Реализация комбинационной логической схемы на основе алгебраической формы записи логической функции интерпретируется оператором присваивания, в правой части которого записывается эквивалентное логическое выражение. Однако следует обратить внимание на ряд особенностей интерпретации, связанных с взаимной синхронизацией операторов. Один из простейших способов — использование параллельных операторов присваивания. Программа (листинг 3.17) представляет описание комбинационной логической схемы с двумя выходами, приведенной на 3.11, в нормальной форме И-ИЛИ с использованием параллельных присваиваний.

В предыдущих разделах мы обходили рассмотрение случаев, когда несколько источников подключаются к одной линии. Если сигнал имеет один драйвер, то его значение определяется достаточно просто. После исполнения или перехода в состояние ожидания всех процессов и параллельных операторов, вызванных общим событием, предсказанные изменения передаются из драйверов сигналов, являющихся, в сущности, программными буферами, в поле данных системы моделирования. Это и определяет новое значение сигнала.

Поведенческое представление дискретных устройств задается в форме арифметических и логических преобразований над исходными и промежуточными данными. Состав и обозначения разрешенных операций соответствует составу операций языка С и его обозначениям. Обеспечивается возможность представления взаимодействующих подсистем, для чего в языке определены как параллельные, так и последовательные операторы и процедуры. Параллельные операторы отображают поведение цепей без памяти, а при моделировании исполняются при изменении любого операнда в правой части оператора. Порядок записи параллельных операторов не имеет значения.

архитектурных особенностей микросхем программируемой логики фирмы Altera. В сущности, язык AHDL является языком структурного описания, т. е. способом представления набора типовых компонентов и их настроек, а также связей между ними. Хотя в языке имеются конструкции, которые "выглядят" как описания поведения, например оператор условия if-then, оператор выбора case, оператор повторения for-generate, надо иметь в виду, что фактически подобные синтаксические конструкции являются описанием определенных структур. Так оператор if-then-else представляет переключатель, который в зависимости от управляющего сигнала, задаваемого условием, подключает к своему выходу (выходам) выходы одной из подсхем, описанных в альтернативных вариантах оператора. Поведенческий аспект скрыт от проектировщика в моделях компонентов, используемых внутри системы проектирования на этапе симуляции собранного проекта. При мысленном сопоставлении AHDL-программе некоторого поведения можно считать, что операторы языка относятся к классу параллельных операторов, т. е. выполнение действия, заданного оператором, происходит при любом изменении операндов другими операторами. При функциональном моделировании предполагается дельта-задержка, а при временном — задержки, значения которых близки к реальным задержкам в выбранных микросхемах.



Похожие определения:
Паяльником газопламенными
Положение плоскости
Положение указанное
Положении показанном
Положительный результат
Положительные вещественные

Яндекс.Метрика